2020年5月27日 星期三

安裝 Cadence Incisive

2020/05/28 初版


Cadence Incisive 是 Cadence 新一代的 Simulator,原廠的定義是:

Multi-language simulation for testbench automation, metric-driven verification, and mixed-signal verification
(https://www.cadence.com/en_US/home/tools/system-design-and-verification/simulation-and-testbench-verification/incisive-enterprise-simulator.html)

簡單說它已經不再像以為的 Verilog XL 是以 Verilog 為主的 simulator,而是支援
多種不同的硬體描述語言。底下說明如何安裝此軟體:

1. 下載:

請至 TSRI 下載 Incisive 的壓縮檔,以目前 (2020/05/28) 為例,其檔案如下:

INCISIV_15.20.039_linux_1of6.tgz
INCISIV_15.20.039_linux_2of6.tgz
INCISIV_15.20.039_linux_3of6.tgz
INCISIV_15.20.039_linux_4of6.tgz
INCISIV_15.20.039_linux_5of6.tgz
INCISIV_15.20.039_linux_6of6.tgz


2. 解壓縮/安裝

# tar xfva INCISIV_15.20.039_linux_1of6.tgz -C /opt/EDA
# tar xfva INCISIV_15.20.039_linux_2of6.tgz -C /opt/EDA
# tar xfva INCISIV_15.20.039_linux_3of6.tgz -C /opt/EDA
# tar xfva INCISIV_15.20.039_linux_4of6.tgz -C /opt/EDA
# tar xfva INCISIV_15.20.039_linux_5of6.tgz -C /opt/EDA
# tar xfva INCISIV_15.20.039_linux_6of6.tgz -C /opt/EDA

3. 設定

在 bashrc 中設定

Incisiv_bin="/opt/EDA/INCISIV/cur/tools/bin"

export PATH=$PATH:$Incisiv_bin


####INCISIV####
export VRST_HOME=/opt/EDA/INCISIV/cur

sh $VRST_HOME/env.sh



4. 測試

Incisive 的執行檔很多,可以參考底下網址來進行測試:

https://en.wikipedia.org/wiki/NCSim


$ncverilog ha_gate.v test_ha.v

5. 故障排除

在執行 ncverilog 時會出現 timescale 的錯誤,原因是 ncverilog 比 gplcver
更嚴謹,它會檢查 timescale 語法,如果沒有在你的 verilog 程式中加上此語法的話會
顯示錯誤而不執行。

除此之外,ncverilog 在執行上沒有問題。

沒有留言:

張貼留言